Alexander (HH): Verständnisproblem: Instanziierung

Beitrag lesen

Moin Moin!

package initialize;
sub new() {
my $IsFulfilled = 0;
my $self=shift;
my $newInst={};
bless($newInst,$self);

}

Zu diesem Murks hab ich Dir in Deinem alten Thread schon was geschrieben. Warum machst Du zu offensichtlich dem alten Thema einen neuen Thread auf?

Alexander

--
Today I will gladly share my knowledge and experience, for there are no sweeter words than "I told you so".